网友您好, 请在下方输入框内输入要搜索的题目:

题目内容 (请给出正确答案)

高级语言的程序基本结构IPO,分别指input、___________、output。


参考答案和解析
B
更多 “高级语言的程序基本结构IPO,分别指input、___________、output。” 相关考题
考题 下列中没有语法错误的pascal程序首部是( )。 Aprogram a1Bprogram a1(output)Cprogram(input,output)Dprogram a1(inputoutput)

考题 计算机上配有某种高级语言,是指该计算机()。A.配有这种高级语言的语言处理程序B.能直接执行这种高级语言的程序C.只能执行这种高级语言程序D.以上说法都不对

考题 试题一(共20分)阅读下列说明,回答问题1至问题3,将解答填入答题纸的对应栏内。【说明】逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。int XOR(char * filename, unsigned long key){FILE* input = NULL,*output = NULL; //1char *outfilename = NULL;int len = strlen(filename);unsigned charbuffer;if( (filename[len-2] == ‘.’) (filename[len-l]== ‘c’)){ //2,3outfilename = new char[len+l]; //4strcpy(outfilename,filename); outfilename[len-2] = ‘\0’;}else{ //5outfilename = new char[len+5];strcpy(outfilename , filename);strncat(outfilename,”.c”,2);}input = fopen(filename,”rb”);if( input = NULL){ //6coutError opening file filenameendl ; //7delete [] outfilename;outfilename = NULL;return 1;}output = fopen(outfilename,”wbf”);if( utput =NULL) { //8coutError creating output file ” outfilename endl; //9delete []outfilename;outfilename =NULL;return 1;}while(! feof(input) ){ //10if( fread(buffer,sizeof(unsigned char), 1,input) != 1 ){ //11if( ! feof(input)){ //12delete [] outfilename; //13outfllename = NULL;fclose(input);fclose(output);return 1;}}else{ //14buffer A= key;fwrite(buffer,sizeof(unsigned char), 1,output);}}fclose(input);fclose(output);delete []outfllename;return 0;}【问题1】(6分)请给出满足100%DC (判定覆盖)所需的逻辑条件。【问题2】(10分)请画出上述程序的控制流图,并计算其控制流图的环路复杂度V(G)。【问题3】(4分)请给出问题2中控制流图的线性无关路径。

考题 目前,有两种重要的高级语言,分别是结构化程序设计和【 】。

考题 目前,有两种重要的高级语言,分别是结构化程序和【 】。

考题 高级程序设计语言的特点是( )。A.高级语言数据结构丰富B.高级语言与具体的机器结构密切相关C.高级语言接近算法语言不易掌握D.用高级语言编写的程序计算机可立即执行

考题 在高级高级程序设计语言中,机构化程序的基本控制结构有复合、分支、重复、递归。()

考题 BIOS(Basic Input/Output System)是指基本输入/输出系统。 A.错误B.正确

考题 逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。 int XOR(char * filename,unsigned long key){ FILE * input = NULL , *output =NULL; //i char * outfilename = NULL; int len = strlen(filename); unsigned char buffer; if( (filename[len-2] == '.') //4 strcpy(outfilename, filename); outfilename[len-2] = '\0'; } else{ //5 outfilename = new char[len+5]; strcpy(outfilename, filename); strncat(outfilename,".c",2); } input =fopen(filename,"rb"); if( input == NULL) { //6 cout << "Error opening file " << filename << endl; //7 delete [] outfilename; outfilename = NULL; return 1; } output =fopen(outfilename,"wb"); if( output == NULL ) { //8 cout << "Error creating output file " << outfilename << endl; //9 delete [] outfilename; outfilename = NULL; return 1; } while( ! feof(input) ) { //10 if(fread( //13 outfilename = NULL; fclose(input); fclose(output); return 1; } } else{ //14 buffer ^= key; fwrite( } } fclose(input); //15 fclose(output); delete [] outfilename; return 0; } 请给出满足100%DC(判定覆盖)所需的逻辑条件。

考题 阅读下列说明,回答问题。 【说明】 逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。 int XOR(char * filename,unsigned long key){ FILE * input = NULL , *output =NULL; //i char * outfilename = NULL; int len = strlen(filename); unsigned char buffer; if( (filename[len-2] == '.') //4 strcpy(outfilename, filename); outfilename[len-2] = '\0'; } else{ //5 outfilename = new char[len+5]; strcpy(outfilename, filename); strncat(outfilename,".c",2); } input =fopen(filename,"rb"); if( input == NULL) { //6 cout << "Error opening file " << filename << endl; //7 delete [] outfilename; outfilename = NULL; return 1; } output =fopen(outfilename,"wb"); if( output == NULL ) { //8 cout << "Error creating output file " << outfilename << endl; //9 delete [] outfilename; outfilename = NULL; return 1; } while( ! feof(input) ) { //10 if(fread( //13 outfilename = NULL; fclose(input); fclose(output); return 1; } } else{ //14 buffer ^= key; fwrite( } } fclose(input); //15 fclose(output); delete [] outfilename; return 0; } 请画出上述程序的控制流图,并计算其控制流图的环路复杂度VG.

考题 逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。 阅读下列说明,回答问题。 【说明】 逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。 int XOR(char * filename, unsignedlong key){ FILE * input = NULL , *output =NULL; //i char * outfilename = NULL; int len = strlen(filename); unsigned char buffer; if( (filename[len-2] == '.') //4 strcpy(outfilename, filename); outfilename[len-2] = '\0'; } else{ //5 outfilename = new char[len+5]; strcpy(outfilename, filename); strncat(outfilename,".c",2); } input =fopen(filename,"rb"); if( input == NULL) { //6 cout << "Error opening file " << filename << endl; //7 delete [] outfilename; outfilename = NULL; return 1; } output =fopen(outfilename,"wb"); if( output == NULL ) { //8 cout << "Error creating output file " << outfilename << endl; //9 delete [] outfilename; outfilename = NULL; return 1; } while( ! feof(input) ) { //10 if(fread( //13 outfilename = NULL; fclose(input); fclose(output); return 1; } } else{ //14 buffer ^= key; fwrite( } } fclose(input); //15 fclose(output); delete [] outfilename; return 0; } 请给出问题2中控制流图的线性无关路径。

考题 阅读下列说明,回答问题。 【说明】 逻辑覆盖法是设计白盒测试用例的主要方法之一,通过对程序逻辑结构的遍历实现程序的覆盖。针对以下由C语言编写的程序,按要求回答问题。 int XOR(char * filename, unsigned long key){ FILE * input = NULL , *output = NULL; //1 char * outfilename = NULL; int len = strlen(filename); unsigned char buffer; if( (filename[len-2] == '.') //4 strcpy(outfilename, filename); outfilename[len-2] = '\0'; } else{ //5 outfilename = new char[len+5]; strcpy(outfilename, filename); strncat(outfilename,".c",2); } input = fopen(filename,"rb"); if( input == NULL) { //6 cout << "Error opening file " << filename << endl; //7 delete [] outfilename; outfilename = NULL; return 1; } output = fopen(outfilename,"wb"); if( output == NULL ) { //8 cout << "Error creating output file " << outfilename << endl; //9 delete [] outfilename; outfilename = NULL; return 1; } while( ! feof(input) ) { //10 if( fread( //13 outfilename = NULL; fclose(input); fclose(output); return 1; } } else{ //14 buffer ^= key; fwrite( } } fclose(input); //15 fclose(output); delete [] outfilename; return 0; }4.1、请给出满足100%DC(判定覆盖)所需的逻辑条件。(3分)4.2、请画出上述程序的控制流图,并计算其控制流图的环路复杂度V(G)。(7分)4.3、请给出问题2中控制流图的线性无关路径。(5分)

考题 BIOS(Basic-Input-Output-System基本输入/输出系统)是一组固化到主板ROM芯片上的程序。

考题 英语INPUT/OUTPUT指()A、电动的B、输入/输出C、电磁阀D、转换器

考题 下列选项中属于过滤流Filter Input Stream的子类的是()。A、Data Input StreamB、Data Output StreamC、Print StreamD、Buffered Output Stream

考题 高级程序设计语言的特点是()。A、高级语言数据结构丰富B、高级语言与具体的机器结构密切相关C、高级语言接近算法语言不易掌握D、用高级语言编写的程序计算机可立即执行

考题 计算机上配有某种高级语言,是指该计算机()。A、配有这种高级语言的语言处理程序B、能直接执行这种高级语言的程序C、只能执行这种高级语言程序D、以上说法都不对

考题 编译程序是将()翻译成()。A、汇编语言程序;机器语言程序B、高级语言程序;汇编语言程序或机器语言程序C、汇编语言程序或高级语言程序;机器语言程序或高级语言程序D、高级语言程序;机器语言程序或高级语言程序

考题 单片机汇编语言程序有三种基本结构,分别是:()、()和()。

考题 BIOS(Basic-Input--Output-System基本输入/输出系统)

考题 BIOS(Basic Input/Output System)是指基本输入/输出系统。

考题 在自动驾驶的诊断页面中有哪些方式?A、INPUT,OUTPUT,DIAGNOSTICSB、INPUT,REPORT,DIAGNOSTICSC、REPORT OUTPUT DIAGNOSTICSD、REPORT,INPUT,OUTPUT

考题 单选题计算机上配有某种高级语言,是指该计算机()。A  配有这种高级语言的语言处理程序B  能直接执行这种高级语言的程序C  只能执行这种高级语言程序D  以上说法都不对

考题 判断题BIOS(Basic Input/Output System)是指基本输入/输出系统。A 对B 错

考题 名词解释题BIOS(Basic-Input--Output-System基本输入/输出系统)

考题 单选题高级程序设计语言的特点是()。A 高级语言数据结构丰富B 高级语言与具体的机器结构密切相关C 高级语言接近算法语言不易掌握D 用高级语言编写的程序计算机可立即执行

考题 单选题Operational amplifiers, used primarily in analog circuits, are characterized by()A high input impedance, high gain and low output impedanceB high input impedance, high gain and high output impedanceC low input impedance, low gain and high output impedanceD low input impedance, high gain and low output impedance

考题 单选题下列选项中属于过滤流Filter Input Stream的子类的是()。A Data Input StreamB Data Output StreamC Print StreamD Buffered Output Stream