网友您好, 请在下方输入框内输入要搜索的题目:

题目内容 (请给出正确答案)

当74LS161工作在计数状态时,以CLK为输入、以QD为输出,实现的是:()

A.2分频

B.4分频

C.8分频

D.16分频


参考答案和解析
16 分频
更多 “当74LS161工作在计数状态时,以CLK为输入、以QD为输出,实现的是:()A.2分频B.4分频C.8分频D.16分频” 相关考题
考题 8253工作在方式1下,输出负脉冲的宽度等于() A.计数初值N+1个CLK脉冲宽度B.计数初值N-1个CLK脉冲宽度C.计数初值N个CLK脉冲宽度D.计数初值(2N-1)/2个CLK脉冲宽度值

考题 以逻辑变量X和Y为输入,当且仅当X和Y同时为0时,输出才为0,其他情况下输出为1,则逻辑表达式为( )。

考题 当信号通过网络后,以输入端为参考,相对电平为零时,表示网络无输出。

考题 独立UPS交流工作模式:在交流输入正常时,输出的交流由输入交流电源直接提供,逆变器处于跟踪待机状态,以消耗极小的功率是逆变器处于随时可切入工作状态。

考题 8253—5工作于方式3时,当写入控制字CW和许数初值后,()开始减l计数过程。A、输出OUT高电平后,再经过一个CLK下降沿B、经过一个CLK上升沿C、输出OUT变为低电平后,再经过一个CLK下降沿D、经过一个CLK下降沿

考题 8253-5工作于方式3时,当计数值为一奇数时,则输出信号的低电平比高电平持续时间()A、少2个CLK周期B、少一个CLK周期C、多2个CLK周斯D、多一个CLK周期

考题 8254中某个计数器工作在方式1,若输入时钟CLK为5 MHZ ,计数初值为n=1000,则CE=0时,OUT输出负脉冲的宽度为()。

考题 8254包括()个独立的,但结构相同的计数电路,他们有()种工作方式,若输入时钟CLK=1MHz,计数初值为500,BCD计数方式,输出OUT1为方波,则初始化是该通道的控制字为()。

考题 8254某个计数器工作在方式3,若输入时钟CLK为1MHZ ,要求OUT输出频率为20000Hz,那么写入的计数初值为()。

考题 某一工作在放大状态的电路,当输入电压为10mV时,输出电压为7V,输入电压为15mV时,输出电压为6.5V,(以上为直流电压)则该电路的放大倍数是()。A、700B、100C、-100D、433

考题 若8253的计数器0工作在方式3,CLK脉冲频率为100KHz,当计数初值为25时,OUT端输出方波的正半周期和负半周期的宽度分别为()。A、130us,120usB、250us,250usC、120us,130usD、125us,125us

考题 8253工作于方式3时,当计数初值为()数时,输出OUT为对称方波;当计数初值为()数时.输出OUT为近似对称方波。

考题 8253—5工作于方式2时,当计数初值写入CR后,在()时刻CR内容装入执行单元CE,并启动计数器工作。A、下一个CLK脉冲的下降沿B、下一个CLK脉冲的上升沿C、CLK脉冲的上升沿D、CLK脉冲的下降沿

考题 8253-5工作于方式2时,若计数值为N时,每输入N个CLK脉冲,则输出()A、正脉冲B、高电平信号C、低电平信号D、一个负脉冲

考题 8253—5工作于方式2时,当计数值为N+l时输出一个CLK周期负脉冲。

考题 8253—5作于方式3时,若计数初值为奇数时,当输出由高电平变为低电平后,CR内容()后,再装入CE中,然后对CLK()计数,直到CE为零时立即使OUT输出为高电平。

考题 8253—5工作于方式4时当 CE减为零时输出一负脉冲,其宽度为一个CLK脉冲。

考题 8255A方式选择控制字中D4表示A口工作在输入还是输出,当其值为0时,表示A口工作在()。A、输入B、输出C、即输入又输出D、既不输入也不输出

考题 8254芯片内包含有3个独立的计数通道,它有5种工作方式,若输入时钟CLK1=1MHz,计数初值为500,BCD码计数方式,OUT1输出为方波,则初始化时该通道的控制字应为()

考题 UMG8900的时钟锁相状态包括()。A、自由:表示目前UMG8900不同步于外同步基准,也不使用频率记忆技术以维持频率的准确性,CLK板输出本板晶体自由振荡的时钟B、快捕:表示CLK板正在快速锁相参考源时钟,一般在系统刚接入参考源时处于该状态,为一个瞬间态,UMG8900刚上电后处于这种状态C、跟踪:表示CLK板此时已锁相基准参考源,其输出为根据参考源校准的时钟D、保持:当CLK板处于跟踪状态后,参考源丢失,此时CLK板锁相状态会从跟踪转入保持,表明此时CLK板以跟踪状态时保存的锁相参数输出时钟

考题 填空题8254某个计数器工作在方式3,若输入时钟CLK为1MHZ ,要求OUT输出频率为20000Hz,那么写入的计数初值为()。

考题 判断题8253—5工作于方式2时,当计数值为N+l时输出一个CLK周期负脉冲。A 对B 错

考题 单选题8253—5工作于方式3时,当写入控制字CW和许数初值后,()开始减l计数过程。A 输出OUT高电平后,再经过一个CLK下降沿B 经过一个CLK上升沿C 输出OUT变为低电平后,再经过一个CLK下降沿D 经过一个CLK下降沿

考题 填空题8253—5作于方式3时,若计数初值为奇数时,当输出由高电平变为低电平后,CR内容()后,再装入CE中,然后对CLK()计数,直到CE为零时立即使OUT输出为高电平。

考题 单选题8253-5工作于方式3时,当计数值为一奇数时,则输出信号的低电平比高电平持续时间()A 少2个CLK周期B 少一个CLK周期C 多2个CLK周斯D 多一个CLK周期

考题 填空题8254中某个计数器工作在方式1,若输入时钟CLK为5 MHZ ,计数初值为n=1000,则CE=0时,OUT输出负脉冲的宽度为()。

考题 填空题8254包括()个独立的,但结构相同的计数电路,他们有()种工作方式,若输入时钟CLK=1MHz,计数初值为500,BCD计数方式,输出OUT1为方波,则初始化是该通道的控制字为()。

考题 单选题8253-5工作于方式2时,若计数值为N时,每输入N个CLK脉冲,则输出()A 正脉冲B 高电平信号C 低电平信号D 一个负脉冲